西门子工业1847俱乐部

本版版主:

帖子 70 条,当日新帖0

总置顶

【跟帖互动有奖】来说说关于电气图纸的二三事

5122浏览140回帖

最新内容

入门还是很重要的,我以前也是从零还是学电路图的,学习过程比较坎坷,不过学会了职位薪资也提升不少。

【故事】畅学技术|一文读懂 V90 PTI 的 MODBUS RTU 通信功能

最新内容

资料很好,收藏

一文读懂 V90 PTI 的 MODBUS RTU 通信功能概述提到 PTI 版本 SINAMICS V90 伺服驱动器(以下简称 PTI 版本V90),直接能想到的是脉冲序列控制,即通过硬件接线直接控制。而除了硬接线之外,PTI 版本的 V90 还支持工业现场非常普遍的 MODBUS RTU 通信功能,支持该通信功能的 PLC 都可以通过 MODBUS RTU 指令读写 V90的参数,PTI 版本 V90 通过集成的 RS485 接口作为MODBUS RTU从站。通过这种通信方式可以实现速度控制、位置控制以及非循环参数读写等功能,接下来将带着大家一起了解一下该通信控制功能的具体内容。01 V90 MODBUS RTU通信基础PTI 版本 V90 自 V1.05 版本以后支持 MODBUS RTU 通信,如下图所示,SINAMICS V90 伺服驱动器支持以下寄存器。“访问类型”一列中的“R”、“W”、“R/W”分别代表读、写、读/写。表1 MODBUS 地址映射表(部分节选)完整的寄存器映射表可以查看 V90 手册,链接如下:https://support.industry.siem...

2022-03-14 10:04:38 1823 7 2

参与讨论
【故事】畅学技术 | S7-1200运动控制的超驰功能

最新内容

好贴,就是图片打不开

引言“超驰“ Override”这个词在日常生活中非常罕见,而且即使在书面语中也是很难见到。从历史上看,首先是在自动控制领域中出现的超驰这个翻译,但是扩展到运动控制领域,这个翻译对应的含义却和自动控制领域不同。在运动控制中,经常需要一个运动动作即将结束时,平滑顺利的过渡到下一个运动控制指令,运动不停止从而提升效率。又存在一些情况下,不同的运动类型需要切换,而这种切换就需要指令之间进行替换。指令的衔接和替换就是在运动控制领域中Override这个超驰功能所对应的含义了。本文“S7-1200运动控制的超驰功能”对此进行了详细的比对和时间片上的分析,可以帮助深入了解超驰行为的特点和作用。S7-1200运动控制的超驰功能超驰功能介绍S7-1200 PLC运动控制指令之间存在相互覆盖和中止的情况,这种特性叫做“超驰”,利用超驰功能,轴不用停止,可以平滑地过渡到新的指令或是同一个指令的新参数。超驰功能示例下面通过示例说明超驰的特性:(1)实验条件软件:TIA STEP7 Professional V16硬件:CPU1215C DC/DC/DC订货号:6ES7 215-1AG40-0XB0,固件版本...

2021-11-05 13:33:50 4352 7 4

参与讨论
【故事】畅学技术|一目了然——PLC 获取驱动故障的两种新方法

最新内容

不错,要紧跟技术的发展呀。

一目了然——PLC 获取驱动故障的两种新方法概述当前的生产线和生产机械中使用了许多驱动设备,当驱动器出现故障时,会产生故障/报警代码并且产生响应动作,这些响应动作有可能导致停机或者设备异常。如何快速找到故障原因并及时解决是广大工程师所关心的常见问题。传统的诊断可以通过驱动器的显示面板或者指示灯、相关调试软件来在线访问设备、访问驱动器的内置网页服务器或者使用调试软件的 Trace 功能来实现。除以上的常见方法之外,本文介绍两种通过 PLC 快速定位驱动故障的新方法。新方法可以通过 PLC 记录和显示故障报警,并且在 HMI 设备上显示报警的相关文本而不仅仅是故障代码,这可以极大地提升诊断的便利性。当驱动器出现报警而造成停机时,相关的报警代码及报警信息(中文文本)直观地显示在人机界面上,使操作维护人员无需专用的工具软件,一看便知问题出在了哪里。这两种新的方法是基于西门子提供的 LAlarmHdl 功能库实现的。PLC 中生成的故障报警消息包含以下内容:信息类型(报警、故障、安全信息)驱动器对象的名称信息代码和附加信息(值)信息描述驱动器对象到达消息的时间戳LAlarmHdl 库的下载链接及...

2022-02-09 14:50:18 3360 3 6

参与讨论
【故事】畅学技术 | S7-200 SMART 编程技巧及实例分享

最新内容

用字的或运算启动泵,然后用字的与运算停止泵图8

S7-200 SMART在编程中常见问题解析工欲善其事必先利其器,在日常的程序编辑和调试过程中,可能会遇到各种各样的问题,比如编辑好的逻辑程序执行结果不正确,编译正常的程序下载到不同的CPU中,有些可以下载,而有些提示非致命错误等等,此时如何快速的排查和分析错误发生原因就非常重要,而排查和分析的前提就需要对S7-200 SMART从软件和硬件上有更加深入的了解,下面我们就通过几个典型的案例来进行问题的分析。案例 1首先看图1这段程序,编译无任何问题,如果下载到不同类型的CPU中,表现就会不同,我们可以尝试下载到ST60和CR60两种类型的CPU中。图1 主程序下载到ST60中,程序运行正常,如果下载到CR60中,程序运行就会报非致命错误:操作数非法(错误码:0090),图2为具体的非致命错误信息:图2 PLC信息根据程序分析错误出现的原因和中断有关,可以查询S7-200 SMART系统手册中断章节可以找到对应答案,如表1所示,24号中断事件CR60不支持,所以在运行时会报错非致命错误。表1综上,当需要程序在多个不同类型CPU之间下载或者移植时,就需要提前了解各个CPU的程序容量大小、支...

2021-11-01 15:27:29 8641 3 4

参与讨论
【故事】【免费领取】2023,PLC工程师必备的第一个资料包

最新内容

怎么才能领取到

2023,PLC工程师必备的第一个技术资料包,1847为大家准备好了,西门子官方出品,领到就是赚到,限量100份免费领取!!扫描下方二维码,添加西门子助教即可免费领取!PLC电子资料包分为两部分一、文档资料:S7-1200官方三大套件电子资料1、电子资料一:S7-1200例程合集2、电子资料二:S7-1200技术参考3、电子资料三:S7-1200系统手册二、线上课程:S7-200 SMART编程技巧以线上视频+直播学习形式为主,辅助以专家答疑+助教带学,通过7天社群学习,让每一个学员能学有所得以上电子资料都是由西门子官方出品,选自工程师反馈最多最想要的内容,尤其是其中还有部分内容是付费的,现在一并打包免费送给大家,祝大家在2023能有一个全新的开始!!

2023-01-04 11:35:49 6033 100 29

参与讨论
【故事】叮!您的1847学习平台“年终奖”已到账

最新内容

给力啊,西家。

2023-01-05 13:10:39 735 12 1

参与讨论
【故事】【免费领取】16个触摸屏技巧!!专家推荐!!

最新内容

感谢楼主分享

1847免费体验课又又又上新啦!继《PLC学习营》、《S7-1200新课学习营》、《7天掌握博途V17实例》之后,1847团队又为大家带来了WinCC干货,西门子资深工程师总结提炼的16个触摸屏技巧,限时免费领取中!!扫描上方二维码,添加西门子助教免费领取!7天可以做什么?7天可以追完一部40集的电视剧,7天可以走马观花的在一个城市浏览一番,7天也可以掌握16个触摸屏技巧!!1847体验课通过视频、图文、直播三种学习形式多管齐下,工程师答疑+助教带学组合教学服务,让每一个报名课程的学员,在一周的时间内学有所获。课程有什么亮点?1、大量的工程师技术干货总结2、TIA Portal软件的实战使用技巧分享3、深入体会TIA Portal软件组态的灵活性和便捷性学后可以收获什么?1、获得更多的TIA Portal软件组态技巧2、有效减少项目组态时间、提高组态效率报名课程后享五大福利1、7天体验课免费学习2、免费获取博途软件官方下载地址3、免费享受助教1v1伴学答疑服务4、专家技术大讲堂职业发展讲座免费听5、1847会员神秘专属福利免费领取

2022-12-14 17:41:04 11176 30 9

参与讨论
【故事】【体验课免费报名】7天掌握博途V17实例

最新内容

更新太快了吧

TIA博途软件为全集成自动化的实践提供了统一的工程平台。是软件开发领域的一个里程碑,是工业领域第一个带有“组态设计环境”的自动化软件,深受工程师们的关注。1847工业学习平台上的《走进博途V17》系列专题上线至今,已有5w+次播放量!!目前《走进博途V17》为付费专题,为了让更多的工程师掌握博途V17,我们选取了该专题中最有含金量的视频,配合专家答疑、直播、助教指导等教学服务,开设了《7天掌握博途V17实例》体验课,限时免费报名!!博途V17体验课专属五大福利!博途V17课程视频7天免费学免费领取资料(博途V11-17官方下载地址)入群1v1助教全程伴学西门子资深工程师答疑西门子1847会员专属福利扫描下方二维码,添加西门子助教领取,名额有限,人满开营!为什么要学习本套课程?随着TIA 博途V17的全面推出,使用新版 TIA 博途可以进一步的提高软件质量并降低自动化的工程设计成本。广大的工程师们可以借助此课程找到、看到、学到相关的技术变化,可以了解和感受到TIA 博途不仅是有效统一的工程工具,还是工程师们直接通向数字化转型的必经之路和快捷之门。学习完可以掌握什么?您将了解和掌握博途V1...

2022-11-23 14:55:04 4269 8 3

参与讨论
【故事】畅学技术|V90驱动器的MODBUS RTU通信功能你了解多少?

最新内容

好文实用,有价值谢谢分享希望以后多出些此类文章

概述提到PTI版本SINAMICS V90 伺服驱动器(以下简称PTI版本V90),就能想到它是脉冲序列开环控制,硬件接线多,数据监控不如PN版本的灵活,想要避免这些问题,我们首先会想到使用通信功能,其实PTI版本的V90就支持在工业现场应用非常普遍的MODBUS RTU通信,支持该通信功能的PLC都可以通过本体或者串口通信模块以及配套的MODBUS RTU指令读写参数,PTI版本V90通过集成的RS485接口,变成支持MODBUS RTU通信的从站设备,通过这种通信可以实现速度控制、位置控制以及非循环参数读写功能,接下来将带着大家一起浏览一下该通信控制功能的具体内容。1.V90 MODBUS RTU通信基础PTI 版本V90自1.05版本以后支持MODBUS RTU通信,通过本体集成的RS485 接口与主站进行通信。如下图所示,SINAMICS V90 伺服驱动器支持以下寄存器。“访问类型”一列中的“R”、“W”、“R/W”分别代表读、写、读/写。表2-1 MODBUS地址映射表(节选)完整的寄存器映射表请查看V90手册,可通过如下链接进行下载。https://support.ind...

2022-02-17 10:44:49 3471 3 2

参与讨论
【故事】畅学技术|大话安全——关于功能安全您需要知道的四个问答

最新内容

F型CPU是未来的方向。

大话安全——关于功能安全您需要知道的四个问答随着安全法律法规的完善和人们安全意识的提高,越来越多的安全相关系统在自动化领域中被采用。这里所说的“安全“不是网络安全更不是交通安全,而是指国际标准IEC 61508(对应GB/T 20438)《电气/电子/可编程电子安全相关系统的功能安全》!1.1什么是安全?什么是安全,这是最先被问到的问题。一般的说法,安全是没有危险,不受威胁,不出事故。如果按照这个说法,安全是一个绝对的概念,是不可控的。在 IEC 61508 中,要回答这个问题,先要了解两个安全术语,伤害和风险:伤害——对人体健康的损害或损伤以及对财产或环境的损害风险——出现伤害的概率及该伤害严重性的组合可以简单的理解风险的表达式为:风险(R)=伤害的严重性(S)× 伤害的频度(P)。其中严重性表示发生一次伤害造成的损失数值;频度表示在一定的时间内伤害发生的次数,这两个因素都会影响风险。IEC 61508 给出安全的定义是“不存在不可接受的风险”。如果对将要出现的伤害的概率以及严重程度是可以接受的那就是安全的,不能接受,那就是不安全的。所以说不存在绝对的安全,只有相对的安全。而且这个定...

2022-02-17 10:35:32 1762 2 2

参与讨论
【故事】畅学技术|PCS 7 标准化和模块化编程之路 - 控制模块类型(CMT)

最新内容

了解了CMT 和 CM 的作用,学习了,谢谢分享!

1.控制模块类型(CMT)之前世今生1.1 背景流程行业由仪表回路发展而来,通常具有模拟量监视及 PID 调节回路多、连锁逻辑相对较少、程序规模大、控制对象重复率高、可扩展性强及多人协作的特点。因此流程行业的编程一般具有如下需求:标准的控制对象模板,能够满足智能仪表的需求,模板生成实例时具有灵活的变型,程序具有高可用性以及高效的编程方式。伴随着工业4.0的浪潮席卷而来,工程标准化和模块化是持续提高竞争力和实现更高规划质量的重要因素。然而不同的工艺步骤和程序、不同的设备以及生产过程中的灵活性加大了该任务实现的困难程度,基于上述需求的一种解决方案是使用控制模块类型(CMT)来创建自动化程序。CMT 将注意力集中在标准化和模块化上,减少对特定实例定制的需要。1.2 CMT 简介CMT 是以 CFC 为基础构建的典型过程控制模型,例如:模拟量监视、电动机控制、阀门控制、PID 调节控制等。其示意图如下图所示:图 1 CMT 示意图对于非连续生产过程,CMT 遵循 ANSI/ISA 88 标准(过程工业中使用的批量控制的参考模型及相关术语)。该标准定义了工厂生产的四个层级:过程单元 - Proc...

2022-03-14 11:51:21 2532 1 0

参与讨论
【故事】要点新闻 |西门子数字化工业2022年1月技术要点新闻

最新内容

介绍的非常详细,学习了!

1. 产品更新1.1 标准提升效益 - 生产自动化标准化综合框架 SICAR在SIOS发布SICAR 是西门子用于生产自动化标准化的综合框架。它最初是在汽车行业发展起来的,在过去的几年里,SICAR得到了不断的提升。今天,SICAR可以广泛应用于离散制造的各个领域(生产系统、输送技术、机器人应用等)。SICAR 以软件库和基于 TIA 博途的基础项目形式提供它是用户定义自己的工厂控制和可视化标准的起点由于其开放的接口和模块,用户可以根据自己的需要单独调整和扩展 SICAR 基础软件。SICAR 包含一个程序框架,使用户能够将机器划分为不同的操作模式区域,并为这些区域提供调用环境、状态模型和诊断概念。此外,SICAR 包括一系列过程功能。相关链接https://support.industry.siemens.com/cs/ww/en/view/109804254 1.2珠联璧合 – PCS 7 工业库 V9.1版本发布工业库 (Industry Library) 是对PCS 7高级过程库 (APL) 的扩展,集成了非标准PCS 7系统的工厂组件如S7-300控制器或Touch Pane...

2022-03-14 12:33:05 2102 1 0

参与讨论
【故事】要点新闻|西门子数字化工业2022年2月技术要点新闻

最新内容

学习了,谢谢分享!

1 产品更新1.1 SIMATIC 技术选件包 TPCamGen 发布SIMATIC 技术选件包 TPCamGen 可用于在伺服压机上确定优化的运动轮廓。在考虑最大偏心速度、最大滑块速度、最大加速度等边界条件的情况下计算最佳的运动曲线。TPCamGen 是 SIMATIC SimaPressServo 软件包的核心,运行环境目前基于 SIMATIC 开放式控制器平台。相关链接:https://support.industry.siemens.com/cs/ww/en/view/109804905 1.2 SINAMICS S120 书本型增强对化学物质的稳定性和防护特性(3C3,G3)西门子已经增强了 SINAMICS S120 书本型的化学防护特性,并且推出适用于二氧化硫 SO2 和硫化氢 H2S 的产品,根据 EN 60721-3-3:1995,达到 3C3 级。对于所有其他化学活性物质(盐雾除外),仍然达到 3C2 级。在北美广泛使用的 ANSI/ISA 71.04:2013,达到了 G3 级。此次涉及的产品有:所有内部散热的电机模块 3A-200A所有带内部散热的 SLM16k...

2022-03-14 12:57:03 1758 1 0

参与讨论
【故事】畅学技术|如虎添翼之 SIMATIC HMI Option+

最新内容

好资料!谢谢楼主分享!

如虎添翼之 SIMATIC HMI Option+01 SIMATIC HMI Option+随着工业自动化程度的不断提高,系统中的 HMI 设备的功能也日益丰富。在满足基本监控和操作功能的基础上,要求 HMI 设备的显示更加人性化,功能部分还会延伸出一些扩展需求,例如通过刷卡登录操作用户、在项目运行过程中直接修改 IP 地址等等。这些扩展的功能仅仅依靠 TIA 博途软件本身无法实现,自行设计和组态也比较困难,SIMATIC HMI Option+(以下简称 Option+)选件使得上述要求成为可能,该选件可以免费下载安装使用且无需购买额外授权。SIMATIC HMI Option+下载链接如下:https://support.industry.siemens.com/cs/cn/en/view/109754400作为 TIA 博途软件功能的有效补充,Option+ 可以实现如下功能:1.1系统信息功能日常维护触摸屏项目时,通常需要了解程序最近一次下载的时间以便于对比项目程序,项目运行时如果可以查看到设备的镜像版本,则可以更加方便的与软件中组态设备的信息做对比。使用 Option+ 中...

2022-03-14 10:59:05 1702 2 2

参与讨论
【故事】畅学技术|突破常规 - “不一样”的 PN/PN 耦合器功能

最新内容

学习一下感谢分享

1.PN/PN 耦合器常规功能西门子的 PN/PN 耦合器常用于实现处于两个不同网络的控制器之间的 PROFINET 数据传输。如下图所示,分别处于不同网络的 S7-1500 PLC 通过 PN/PN 耦合器实现数据的快速交互,而且因为耦合器的存在,两个网络彼此隔离,也大大增加了网络的安全性。针对这种经典应用,PN/PN 耦合器组态也非常简单,我们只需要在两个CPU 中分别组态耦合器,并且插入输入/输出,简单地说就是 “槽位交叉对应,长度一致” 即可。但是在配置的过程中,大家也会注意到,在组态类型的下拉框中,还有很多其它组态功能。大家可能有疑问,针对这些不常用的组态选项,能够实现什么功能呢?让我们一起看看 “不一样”的 PN/PN 耦合器功能。2.功能一、共享输入(MSI)/共享输出(MSO)通过 PN/PN 耦合器的模块内部共享输入(MSI) 和共享输出(MSO)功能,可将数据同时传递给本侧的其它 PLC,最多提供给 4 个 CPU 使用。 2.1 共享设备共享输入(MSI) /共享输出(MSO) 是基于共享设备功能实现的,通过共享设备功能,耦合器不再将数据交换局限在两侧的两个 ...

2022-03-31 15:49:42 2295 7 2

参与讨论
【故事】解读专家大讲堂|电池储能应用经验分享

最新内容

学习了,谢谢分享!

能源一直是关乎国民经济的命脉,随着“碳中和”与“碳达峰”目标的确立,高效的能源利用率成为根本。对于能源应用相关领域,西门子具有诸多产品和完善的解决方案。针对电池储能相关应用,主要有以下三种产品:DC/DC变换器-DCPDC/DC变换器-DCDCCONV储能变流器-PCS(Power Conversion System)这些储能相关产品均具有非常完善的控制功能,可以根据实际需要,实现恒压、恒流、恒功率的充放电模式。本次课程非常适合想要了解西门子储能应用相关产品和解决方案的用户。主要讲述了DC/DC变流器中DCP与DCDCCONV的功能差别和应用场景,并通过具体配置方案单线图和实际使用案例进行阐述。案例1:超级电容储能应用,介绍在提升机类设备上的储能调峰与势能回收应案例和技术方案。案例2:钛酸锂电池储能应用:讲述PCS储能系统的框架结构,及其应用在钛酸锂电池储能调峰过程中的注意事项和技术要点。通过具体的方案使用户能够清晰了解西门子涉及储能应用相关的电气产品及其功能,了解基本应用中的框架结构。能够具备对储能系统应用方案的可行性进行分析的能力,少走弯路。主讲专家:郑永利、马天祥具有多年丰富经验...

2022-05-13 15:56:55 1224 2 0

参与讨论

1. 概述随着数字化和物联网的发展,对生产网络性能的要求不断提高,基于以太网的工业网络其复杂度和节点数一直呈现快速增长趋势。尤其是在 OT-IT 融合的大趋势下,传统生产网络的维护要求越来越高。在工业生产网络中,各种设备发生的网络故障都可能会造成生产中断,甚至会导致停产。为了维护网络所有组件的稳定运行,我们需要随时掌握网络的动态,及时发现网络的变化,比如拓扑的改变、端口状态、CRC 错误、流量变化等等。这些信息可以帮助我们及时预知网络风险,做出预见性维护,所以网络管理必不可少。西门子网络管理软件 SINEC NMS 是专门为了满足工业网络通信的需求而设计,是一个全面的网络管理系统,可以监测和管理整个生产网络的设备,并提供图形化的显示,帮助用户简单、高效的掌握整个网络的动态。本文将对 SINEC NMS 的功能特点做介绍,文末提供了 SINEC NMS学习视频链接和西门子官方商城购买链接,欢迎学习及选购。2. 什么是SINEC NMSSINEC NMS (Network Management System) 是西门子用于监控和管理工业网络的网络管理系统。SINEC NMS 系统的部署,可...

2022-05-13 12:33:17 1592 2 1

参与讨论

01精彩内容传统的驱动系统调试,必须要在驱动系统安装完毕后才能够进行,所以在项目的开发和设计阶段,无法进行驱动性能的分析,也不能完全预测驱动的系统行为。往往是在调试期间或者后期才能发现问题,造成一定的滞后性。比如驱动器的动态响应不能满足工艺节拍的要求,或者与控制器通信的逻辑控制程序不正确等。为了实现驱动的数字化双胞胎应用,虚拟化的 SINAMICS 驱动器 SINAMICS DriveSim Basic 应运而生。它可以根据负载和工艺要求选择正确的驱动器,配合 NX MCD 等软件实现驱动行为可视化,修正和验证控制器与驱动器之间的通信逻辑等,即在控制器逻辑程序功能测试和机械负载特性等方面都可以实现驱动的虚拟仿真。DriveSim Basic 以功能模块单元 FMU 形式提供,满足功能模块接口 FMI 协议,此协议是开放的免费的,它定义了一个容器和一个交换动态模型的接口。这样 DriveSim Basic 就可以集成到支持 FMU 的仿真工具中,例如:SIMIT、Simcenter Amesim、ANSYS TwinBuilder, Matlab Simulink。SINAMICS Dr...

2022-07-01 10:59:58 1454 3 1

参与讨论
【故事】解读专家大讲堂|网络互连-冗余 S7-400H 和其他 PLC 通信要点解析

最新内容

精彩内容在现场工厂中,在 S7-400H 控制系统之外,可能还是其他各类成套设备,例如 S7-1500, S7-300 等等。如果 S7-400H 和成套设备之间通信交换数据, 那么我们需要考虑:·可用性:在单点故障的情况下,如何确保通信正常?·实时性:秒级别?毫秒级别?·成本:需要增加软件或者硬件,或者编程工作量?本次的专家大讲堂中,我们将针对 400H 和 PLC 通信方案和要点做一个比较详细的整理和说明,包括西门子 S7 控制器之间S7、PN以及DP等通信,和其他厂商 PLC 之间的 Modbus 通信等。帮助用户了解冗余 PLC 之间通信方案,避免错选、漏选。了解冗余 PLC 之间通信要点,高效地3进行项目实施和快速地故障排查。618钜惠开启,开通1847会员55折起~还有《PLC使用小窍门集锦》请速速查阅!限量秒杀2022年6月15日至20日,大额优惠券限量放送,畅学版(年卡)优惠至6.18折(优惠券限量放送,强烈建议先把打折券领到手)买二送一7月30日前,购买两张(含)以上畅学版或专业版会员卡,额外免费获得专业版(季卡)1张(价值159元)618满赠新用户首单满618元,随...

精彩内容在现场工厂中,在 S7-400H 控制系统之外,可能还是其他各类成套设备,例如 S7-1500, S7-300 等等。如果 S7-400H 和成套设备之间通信交换数据, 那么我们需要考虑:·可用性:在单点故障的情况下,如何确保通信正常?·实时性:秒级别?毫秒级别?·成本:需要增加软件或者硬件,或者编程工作量?本次的专家大讲堂中,我们将针对 400H 和 PLC 通信方案和要点做一个比较详细的整理和说明,包括西门子 S7 控制器之间S7、PN以及DP等通信,和其他厂商 PLC 之间的 Modbus 通信等。帮助用户了解冗余 PLC 之间通信方案,避免错选、漏选。了解冗余 PLC 之间通信要点,高效地3进行项目实施和快速地故障排查。618钜惠开启,开通1847会员55折起~还有《PLC使用小窍门集锦》请速速查阅!限量秒杀2022年6月15日至20日,大额优惠券限量放送,畅学版(年卡)优惠至6.18折(优惠券限量放送,强烈建议先把打折券领到手)买二送一7月30日前,购买两张(含)以上畅学版或专业版会员卡,额外免费获得专业版(季卡)1张(价值159元)618满赠新用户首单满618元,随...

2022-06-21 17:28:44 1060 0 0

参与讨论
【故事】【上新了·1847】快来看看最近1847都上新了哪些好内容!

最新内容

本届汉诺威工业博览会如火如荼进行中,免费注册,获取汉诺威工业博览会免费门票,提前地规划您在 9 号展厅的参观体验。如果您无法亲临现场,您可用该门票访问我们的线上展会平台,以数字方式访问活动内容,并与我们的专家进行线上沟通。更多信息敬请期待。戳此获取2022汉诺威工业博览会免费门票2021年汉诺威工业博览会视频合集其他数字化主题内容汇总2016 技术咨询会议_数字化(TCC )视频合集2017 技术咨询会议_数字化(TCC )视频合集2020西门子工业论坛视频合集2021年汉诺威工业博览会视频合集2022西门子1847工业专家会议视频合集2021台北机展论坛视频合集戳此开通1847会员立减30元1847近期上新了不少好内容,跟上我们的节奏继续探索1847的工控世界吧!1、S7-1500 和SQL数据库的数据交互介绍了S7-1500的数据如何通过WinCC工业数据桥传送到SQL数据库,适用于没有SCADA,但需要把PLC数据存储到数据库的场合。2、龙门伺服调试之背景介绍来自《运动控制工程师谈龙门伺服调试》专题,讲述运动控制中龙门轴(双轴机械连接同步)的应用场景和基本概念解释。3、LOGO!...

本届汉诺威工业博览会如火如荼进行中,免费注册,获取汉诺威工业博览会免费门票,提前地规划您在 9 号展厅的参观体验。如果您无法亲临现场,您可用该门票访问我们的线上展会平台,以数字方式访问活动内容,并与我们的专家进行线上沟通。更多信息敬请期待。戳此获取2022汉诺威工业博览会免费门票2021年汉诺威工业博览会视频合集其他数字化主题内容汇总2016 技术咨询会议_数字化(TCC )视频合集2017 技术咨询会议_数字化(TCC )视频合集2020西门子工业论坛视频合集2021年汉诺威工业博览会视频合集2022西门子1847工业专家会议视频合集2021台北机展论坛视频合集戳此开通1847会员立减30元1847近期上新了不少好内容,跟上我们的节奏继续探索1847的工控世界吧!1、S7-1500 和SQL数据库的数据交互介绍了S7-1500的数据如何通过WinCC工业数据桥传送到SQL数据库,适用于没有SCADA,但需要把PLC数据存储到数据库的场合。2、龙门伺服调试之背景介绍来自《运动控制工程师谈龙门伺服调试》专题,讲述运动控制中龙门轴(双轴机械连接同步)的应用场景和基本概念解释。3、LOGO!...

2022-05-31 09:20:28 1563 0 0

参与讨论
【故事】解读专家大讲堂|破解V90扭矩控制难题-固定停止点功能详解

最新内容

1.精彩内容SINAMICS V90 这款产品具备规格齐全,安全可靠,调试方便,功能完善等优点,在各个行业的应用非常广泛。对于有拧紧或者夹紧需求的场合, V90的固定停止点功能可以完美应对这种工艺需求。实现固定停止点有以下两种方法:EPOS模式下利用程序段的固定挡块功能实现;工艺对象(TO)模式下利用 MC_TorqueLimiting 指令的模式1实现以上两种方法的实现过程和处理逻辑是相同的,具体操作时依然紧扣固定停止点功能的4个关键点展开:设置扭矩限制值设置跟随误差设置静态监控窗口设置最大允许距离关键点1:设置扭矩限制值该值的作用是控制拧紧或夹紧的扭矩,设置过小达不到夹紧或者拧紧需求,设置太大会拧坏或夹坏工件。关键点2:设置跟随误差该值是配合扭矩限制值进一步判断是否已彻底拧紧或夹紧,跟随误差是设定位置和实际位置之间的差值,利用跟随误差判断类似利用延时判断,可以认为是已到达夹紧扭矩后再延时一段时间,确认机构还能不能再运行,如果不能运行就拧紧或夹紧了,如果能运行就说明没有拧紧或夹紧,跟随误差既能给出延时,也能判断是否还能运行,一举两得。关键点3:设置静态监控窗口该值是用来监控拧紧或夹紧...

1.精彩内容SINAMICS V90 这款产品具备规格齐全,安全可靠,调试方便,功能完善等优点,在各个行业的应用非常广泛。对于有拧紧或者夹紧需求的场合, V90的固定停止点功能可以完美应对这种工艺需求。实现固定停止点有以下两种方法:EPOS模式下利用程序段的固定挡块功能实现;工艺对象(TO)模式下利用 MC_TorqueLimiting 指令的模式1实现以上两种方法的实现过程和处理逻辑是相同的,具体操作时依然紧扣固定停止点功能的4个关键点展开:设置扭矩限制值设置跟随误差设置静态监控窗口设置最大允许距离关键点1:设置扭矩限制值该值的作用是控制拧紧或夹紧的扭矩,设置过小达不到夹紧或者拧紧需求,设置太大会拧坏或夹坏工件。关键点2:设置跟随误差该值是配合扭矩限制值进一步判断是否已彻底拧紧或夹紧,跟随误差是设定位置和实际位置之间的差值,利用跟随误差判断类似利用延时判断,可以认为是已到达夹紧扭矩后再延时一段时间,确认机构还能不能再运行,如果不能运行就拧紧或夹紧了,如果能运行就说明没有拧紧或夹紧,跟随误差既能给出延时,也能判断是否还能运行,一举两得。关键点3:设置静态监控窗口该值是用来监控拧紧或夹紧...

2022-05-20 16:09:13 2598 0 0

参与讨论
【故事】畅学技术|规避用电高峰,优化能源供给——西门子 Energy Suite 负载管理功能

最新内容

01概述近一段时间以来,能源供给和节能是一个热门话题。除了煤价上涨与经济转型,加速淘汰落后产能和促进产业结构升级之外,能耗双控这个词也频繁出现,即进一步完善能耗双控制度,完成预定的降低能耗强度的目标任务。因此总用电量占比超过60%的工业用电用户首当其冲会面对如何实现能耗双控的问题。如何规避用电高峰,优化能源供给,就成为工厂级能源管理系统的当务之急。当下,各地各行业的工业电费计算公式不尽相同。但是在工厂能源消费的组成中,需量电费始终是个不容忽视的部分(如下图所示)。本文会在介绍需量电费概念的基础上,为大家带来西门子的能源管理应对之道。02需量电费什么是需量电费呢?需量电费就是一个计费周期(例如一年)内的某一时段(例如15分钟)的平均功率与供电公司合约价格的乘积。如下图所示:也就是说,即使全时段平稳,但稍有不慎的一个负载高峰就会导致需量电费飙升。如何避免上述问题,成为一个棘手的事情。在保证生产正常运行的前提下,企业可以从两个方面应对:通过避免负载峰值和平衡功率分配降低需量电费,即削峰填谷增加自动调节系统,及时响应系统对生产过程的反馈,即智能投切设备03西门子提供的应对之道西门子能源管理系统...

01概述近一段时间以来,能源供给和节能是一个热门话题。除了煤价上涨与经济转型,加速淘汰落后产能和促进产业结构升级之外,能耗双控这个词也频繁出现,即进一步完善能耗双控制度,完成预定的降低能耗强度的目标任务。因此总用电量占比超过60%的工业用电用户首当其冲会面对如何实现能耗双控的问题。如何规避用电高峰,优化能源供给,就成为工厂级能源管理系统的当务之急。当下,各地各行业的工业电费计算公式不尽相同。但是在工厂能源消费的组成中,需量电费始终是个不容忽视的部分(如下图所示)。本文会在介绍需量电费概念的基础上,为大家带来西门子的能源管理应对之道。02需量电费什么是需量电费呢?需量电费就是一个计费周期(例如一年)内的某一时段(例如15分钟)的平均功率与供电公司合约价格的乘积。如下图所示:也就是说,即使全时段平稳,但稍有不慎的一个负载高峰就会导致需量电费飙升。如何避免上述问题,成为一个棘手的事情。在保证生产正常运行的前提下,企业可以从两个方面应对:通过避免负载峰值和平衡功率分配降低需量电费,即削峰填谷增加自动调节系统,及时响应系统对生产过程的反馈,即智能投切设备03西门子提供的应对之道西门子能源管理系统...

2022-05-13 15:33:50 1715 0 0

参与讨论
【故事】要点新闻|4月西门子官网技术更新要点解读

最新内容

1 摘要最美人间四月天,学习还得抓紧时间。欢迎大家阅读官网技术更新要点解读。本期要点解读包含三部分内容:七条来自产品更新的信息。小功率电机的供货发布;ET200SP Energy Meter 高性能型的测量数据概览;适用于 Unified精智面版的简易安装适配器;SIMATIC 按键面板 KP8 PN LX的发布信息;WinCC OA 再次获得IEC 61508(SIL3)认证以及两个PM产品的版本更新。八个应用示例。包括:使用Modular Application Creator 通过设备模块生成 TIA Portal 机器项目;HMI面板如何通过PROFINET与V90PN直接通信;如何将自动化环境中的先进机器人应用与ArTIA原型集成;SIMATIC S7-1500入门指南和显示屏模拟器智能助手;机器制造中的虚拟调试技术;以及S7-1500T 飞锯和S7-1500 液压的相关应用。五个常见问题。包括:能在 Windows 11 上安装 TIA Portal 吗?如何使用 SIMIT和 PLCSIM Advanced 进行等时模拟?如何使用 WinCC Unified 的GUI 启...

1 摘要最美人间四月天,学习还得抓紧时间。欢迎大家阅读官网技术更新要点解读。本期要点解读包含三部分内容:七条来自产品更新的信息。小功率电机的供货发布;ET200SP Energy Meter 高性能型的测量数据概览;适用于 Unified精智面版的简易安装适配器;SIMATIC 按键面板 KP8 PN LX的发布信息;WinCC OA 再次获得IEC 61508(SIL3)认证以及两个PM产品的版本更新。八个应用示例。包括:使用Modular Application Creator 通过设备模块生成 TIA Portal 机器项目;HMI面板如何通过PROFINET与V90PN直接通信;如何将自动化环境中的先进机器人应用与ArTIA原型集成;SIMATIC S7-1500入门指南和显示屏模拟器智能助手;机器制造中的虚拟调试技术;以及S7-1500T 飞锯和S7-1500 液压的相关应用。五个常见问题。包括:能在 Windows 11 上安装 TIA Portal 吗?如何使用 SIMIT和 PLCSIM Advanced 进行等时模拟?如何使用 WinCC Unified 的GUI 启...

2022-05-13 11:32:25 1310 0 0

参与讨论
【故事】畅学技术|你所不知道的 S7-1500 I/O 模块的附加功能

最新内容

1.1 SIMATIC S7-1500 I/O 模块的功能类别用户在选择 I/O 模块时也许对模块产品类型标志后的BA、ST、HF、HS(比如:DI 32x24VDC BA 或 DQ 32x24VDC/0.5A HF)有诸多疑问,不知怎么选择。如下表格1-1详细列出了含义及支持的其他功能。 表格1.1通过上表,相信大家对模块的功能类别有了个大概的认识,下面做了简单总结供大家选型参考。基本模块 :实现基本要求而设计,经济适用。标准模块:标准要求设计,大部分客户选择使用,价格适中。高性能模块:包含更多高级功能,应用极为灵活,尤其适用于复杂应用。高速模块:高速处理做了优化,输入延时和转换时间极短,适用于超高速应用的专用模块。下文将围绕 S7-1500 I/O 模块的附加功能按类别具体介绍。下表1.2列出模块支持的不同附加功能。 表格1.2 1.2 数字量输入模块的附加功能计数是指对事件数量进行检测和求和。部分数字量模块支持计数功能,能够记录并评估数字量输入的信号沿,如:进行单次计数直至计数上限或进行重复计数...

1.1 SIMATIC S7-1500 I/O 模块的功能类别用户在选择 I/O 模块时也许对模块产品类型标志后的BA、ST、HF、HS(比如:DI 32x24VDC BA 或 DQ 32x24VDC/0.5A HF)有诸多疑问,不知怎么选择。如下表格1-1详细列出了含义及支持的其他功能。 表格1.1通过上表,相信大家对模块的功能类别有了个大概的认识,下面做了简单总结供大家选型参考。基本模块 :实现基本要求而设计,经济适用。标准模块:标准要求设计,大部分客户选择使用,价格适中。高性能模块:包含更多高级功能,应用极为灵活,尤其适用于复杂应用。高速模块:高速处理做了优化,输入延时和转换时间极短,适用于超高速应用的专用模块。下文将围绕 S7-1500 I/O 模块的附加功能按类别具体介绍。下表1.2列出模块支持的不同附加功能。 表格1.2 1.2 数字量输入模块的附加功能计数是指对事件数量进行检测和求和。部分数字量模块支持计数功能,能够记录并评估数字量输入的信号沿,如:进行单次计数直至计数上限或进行重复计数...

2022-05-13 10:35:56 1401 0 0

参与讨论
【故事】要点新闻|西门子数字化工业2022年3月技术要点新闻

最新内容

01 产品更新1.1SIMATIC S7-1500 的高速(High Speed)数字输入模块发布近期,西门子发布SIMATIC S7-1500下的新型 16 通道高速数字输入模块(6ES7521-7BH00-0AB0),该模块扩展了现有的产品组合。此模块的特点如下:高通道密度,节省空间 ,模块宽度 35mm支持最多四个集成计数器 ,频率可以到 20kHz(2 x 10kHz 和 2 x 20kHz)250μs 的同步模式16 倍过采样,最小分辨率 15.625μs通过推入式或螺钉式的前连接器进行灵活接线此模块的典型应用:检测通过继电器的输入信号,增加了9mA 典型值输入电流确保可靠的接触和信号检测用于简单配料过程的计数,例如在食品工业中,用于包装机或散装货物的灌装通过过采样功能提高信号边缘检测的准确性用于移植 S7-300 模块(6ES7 321-7BH01-0AB0)另外,当前的 S7-1500 输入模块一览如下表所示:相关链接: https://support.industry.siemens.com/cs/ww/en/view/1098062091.2 SIMATIC H...

01 产品更新1.1SIMATIC S7-1500 的高速(High Speed)数字输入模块发布近期,西门子发布SIMATIC S7-1500下的新型 16 通道高速数字输入模块(6ES7521-7BH00-0AB0),该模块扩展了现有的产品组合。此模块的特点如下:高通道密度,节省空间 ,模块宽度 35mm支持最多四个集成计数器 ,频率可以到 20kHz(2 x 10kHz 和 2 x 20kHz)250μs 的同步模式16 倍过采样,最小分辨率 15.625μs通过推入式或螺钉式的前连接器进行灵活接线此模块的典型应用:检测通过继电器的输入信号,增加了9mA 典型值输入电流确保可靠的接触和信号检测用于简单配料过程的计数,例如在食品工业中,用于包装机或散装货物的灌装通过过采样功能提高信号边缘检测的准确性用于移植 S7-300 模块(6ES7 321-7BH01-0AB0)另外,当前的 S7-1500 输入模块一览如下表所示:相关链接: https://support.industry.siemens.com/cs/ww/en/view/1098062091.2 SIMATIC H...

2022-03-31 16:18:10 1434 0 0

参与讨论
【故事】要点新闻 | 自动化与驱动6月技术要点新闻

最新内容

有DOCKER 部署相关资料吗?

#持续创新 - SIMATICS7-1500 和 SIMATICS7-1200 控制器的新固件带来诸多提升#SIMATIC S7-1500 固件 V2.9 提供和增强了如下新功能:网页功能:WinCC Unified 为 SIMATIC S7-1500 和 ET 200 CPU 创建用户定义的网页。这不需要有关创建 HTML 页面的特殊知识;网页可以在 WinCC Unified 编辑器中创建为画面,然后下载到控制器;用户定义网页也可以作为 HTML 文件直接通过新的 WEB API 下载到 S7-1500 或 ET 200 CPU,而无需使用 TIA 博途。与 IT 连接:支持 DHCP/DNS、带有 GDS(全局发现服务器) 的 OPC UA,用于基于服务器的全球认证处理。OPC UA 警报和条件功能,此功能可以将警报及其相关值传输到 OPC UA 客户端。媒体冗余协议 (MRP) 增强连接性,适用于更大的 PROFINET 环网结构,支持最多 11 个环网。支持激活/禁用 i-Devices ,可以更灵活地配置设备。SIMATIC S7-1200 固件 V4.5 提供和增强了如下...

2021-11-01 14:55:32 1963 1 0

参与讨论
【故事】解读专家大讲堂|驱动工程师编程利器-TIA 博途下三大应用库介绍

最新内容

感谢官方分享,又学习了新知识!

解读专家大讲堂——驱动工程师编程利器-TIA 博途下三大应用库介绍1.精彩内容随着工业技术的快速发展,系统集成项目体现出自动化程度越来越高,设备的运行模式越来越复杂,客户定制化需求越来越多样化的特点。因此对设备的制造时间、效率、质量以及灵活性等多方面提出了更有挑战性的要求,通过标准化的编程方式,使用标准驱动功能库是一个有效应对挑战的手段。标准驱动功能库基于它便捷高效的可读性、可维护性、可重复性以及高度的灵活性,面对不同的运行任务控制需求,可以便捷高效的完成项目集成。此外,由于项目集成工程师的各自技术储备和经验积累的不同,通过手工打造的一个个形式各异的功能块会造成无法持续维护的缺点。而使用西门子提供的标准化模块化程序库,可以充分保证程序运行的稳定性和可靠性 ,缩短了项目集成的调试时间,工程师可以把重点和精力放在工艺的改进提升和设备的质量优化方面,并且为后续进一步的改善设备品质打下一个良好的基础。本期邀请的技术专家张正伟具有多年西门子自动化、驱动及伺服产品和应用经验。负责西门子运动控制产品的现场技术支持、客户培训、热线、工程调试等工作。具有系统调试、故障诊断排查等丰富的现场经验。此次针对当...

2022-02-09 14:27:25 2805 1 1

参与讨论

西门子无线 iFeatures 功能-助力 PROFINET 无线传输的利器1.概述工业数字化进程中,对于工业网络的依赖性持续增加,通过工业无线局域网(WLAN)已经应用在众多工业现场的解决方案中,例如通过移动无线连接的自动导引小车(AGV)系统以及起重机应用等。西门子 SCALANCE W 系列无线通信产品可以完美地满足无线通信需求。针对工业环境中的需求,西门子为 SCALANCE W 产品开发了多种特定功能,即 iFeatures(工业特性)功能。iFeatures功能可以通过相应的 KEY-PLUG 或 CLP 扩展卡实现,工程师仅需要在 IWLAN 访问点及客户端模块的组态界面中简单地激活即可。iFeatures 各种功能优点:实现可靠的实时通信无缝冗余实现无线通信的高可用性安全的IWLAN 通信2.iFeatures作为一个无线系统,无线局域网几乎是全能的,它几乎适用于任何区域,从家庭客厅到办公室或工业生产现场。虽然不同场合的无线局域网在技术方面有着相同的基础,但对于不同应用场合却有着不同的要求。尤其是在工业环境中,可用性和可靠性尤为重要。在这种情况下,iFeatures 功...

2022-02-09 14:11:49 2020 2 0

参与讨论
【故事】畅学技术|面板 Audit (审计追踪)功能您了解多少?

最新内容

unified啥时能全面支持

面板 Audit (审计追踪)功能您了解多少?1.为什么要用Audit功能? 在许多工业领域,生产数据的可追溯性及其文档记录变得愈加重要,如医药行业、食品饮料以及相关的机械工程行业。与书面文档相比,以电子形式存储生产数据具有许多优点,如采集和记录数据更方便,同时保证数据不被篡改也很重要。为此,相关部门已经制订了有关产品数据电子文档的行业专用标准和通用标准。其中最重要的一套标准就是由美国食品和药物管理局 (FDA) 发布的针对电子数据记录和电子签名的 FDA 准则 21 CFR Part 11。2.Audit功能可以做什么? (1)在运行期间创建审计跟踪日志文件该文件可用于跟踪有关哪个用户何时运行了机器的什么控制功能的完整记。(2)重要处理阶段还必须可追踪到具体的责任人,如使用电子签名功能该功能可以实现操作人员在输入重要数据时需要输入用户密码,进行二次确认,并在Audit记录文件中显示是谁做了这样的操作。基于TIA Portal平台的精智面板、二代移动面板和上位机WinCC Runtime Advanced项目都提供了用于实施 GMP 合规性的“Audit”选项,仅需要在项目中启用即可。...

2022-02-09 13:57:11 3161 1 0

参与讨论
70篇主题

本版热门话题

西门子工业1847俱乐部

共有70条技术帖

相关推荐

热门标签

相关帖子推荐

top
您收到0封站内信:
×
×
信息提示
很抱歉!您所访问的页面不存在,或网址发生了变化,请稍后再试。